当前位置: 首页 > news >正文

专业设计网址青岛网站开发揭阳seo快速排名

专业设计网址青岛网站开发,揭阳seo快速排名,建程网工程靠谱吗,wordpress mnews主题参考资料 【明德扬_verilog零基础入门语法HDL仿真快速掌握-手把手教你写FPGA/ASIC代码设计流程中的应用】 4. Verilog工程模板、相应规范 4.1 Verilog工程模板 4.1.1 设计模块模板 module module_name(clk,rst_n,//其他信号,举例doutdout };//参数定义parameter …

参考资料
【明德扬_verilog零基础入门语法HDL仿真快速掌握-手把手教你写FPGA/ASIC代码设计流程中的应用】

4. Verilog工程模板、相应规范

4.1 Verilog工程模板

4.1.1 设计模块模板

module module_name(clk,rst_n,//其他信号,举例doutdout
};//参数定义parameter DATA_W = 8;//输入信号定义input clk;input rst_n;//输出信号定义output[DATA_W-1:0] dout;//输出信号reg定义reg[DATA_W-1:0] dout;//中间信号定义reg signal_1;wire siginal_2;//组合逻辑写法always@(*)begin//组合逻辑事务end//时序逻辑写法always@(posedge clk or negedge rst_n)beginif(rst_n==1'b0)begin//复位操作endelse begin//触发器操作endend
endmodule

4.1.2 测试模块模板

`timescale 1ns / 1ps
module TestBench_name();//时钟和复位reg clk     ;reg rst_n   ;//uut 的输入信号reg[3:0]    din_0   ;reg         din_1   ;//uut 的输出信号wire        dout_0  ;wire[4:0]   dout_1  ;//时钟周期,单位为ns,可在此修改时钟周期parameter CYCLE = 20;//复位时间,此时表示复位3个时钟周期的时间parameter RST_TIME = 3;//待测试的模块例化module_name uut(.clk       (clk)     ,.rst_n     (rst_n)   ,.din_0     (din_0)   ,.din_1     (din_1)   ,.dout_0    (dout_0)  ,.dout_1    (dout_1)  // ,更多...);//生成本地时钟:50Minitial beginclk = 0;forever #(CYCLE/2)clk = ~clk;end//产生复位信号initial beginrst_n = 1;#2;rst_n = 0;#(CYCLE*RST_TIME);rst_n = 1;end//输入信号din0赋值方式initial begin #1;//赋初值din_0 = 0;#(10*CYCLE);//开始赋值end//输入信号din1赋值方式initial begin #1;//赋初值din_1 = 0;#(10*CYCLE);//开始赋值end 
endmodule

4.2 相应规范

4.2.1 时序逻辑和组合逻辑写法

在这里插入图片描述

4.2.2 阻塞赋值和非阻塞赋值

在这里插入图片描述

4.2.3 always写法规范

在这里插入图片描述

4.2.4 begin end以及信号的对齐

在这里插入图片描述

4.2.5 信号大小写的规范

在这里插入图片描述

4.2.6 时钟及复位信号问题

在这里插入图片描述
在这里插入图片描述
在这里插入图片描述

4.2.7 reg和wire类型的使用

在这里插入图片描述

  • always里面,等号左边的信号,无论是时序逻辑还是组合逻辑,都必须是reg类型

4.2.8 输入输出的规范

在这里插入图片描述

  • 输出使用寄存器打一拍出去,可以极大降低出现亚稳态的概率。(毛刺)

在这里插入图片描述

4.2.9 总结

在这里插入图片描述
😊

http://www.rdtb.cn/news/996.html

相关文章:

  • 沈阳做企业网站seo优化员
  • 使用js做网站性能测试网上营销
  • 临沂网站建设技术托管湖人今日排名最新
  • 做网站的机构迅雷磁力
  • 文学网站怎样建设网站平台推广
  • 乐清seo搜索引擎优化常用方法
  • 做公司网站页面郑州网站设计
  • 免费企业网站注册网络营销方式与工具有哪些
  • 以3d全景做的网站临沂seo网站管理
  • 三门峡做网站公司提高工作效率的重要性
  • 绍兴优秀做网站的seo外包是什么意思
  • 网络客服兼职网站排名优化客服
  • 大型购物网站建设大数据营销软件
  • 西安网站建设技术外包万能搜索引擎网站
  • 政府门户网站集约化建设方案国内重大新闻
  • html5 做网站顾问
  • 集约化网站建设管理在线生成html网页
  • wordpress ip设置baidu优化
  • 企业网站重要性全网引流推广 价格
  • 1免费网站建站百度极速版推广员怎么申请
  • 没域名 打开网站厦门seo大佬
  • 做网站全程指导重庆seo全面优化
  • 网站备案查询不出来美橙互联建站
  • 河北移动端网站制作北京百度科技有限公司电话
  • 做甲基化黑点的网站网站排名优化需要多久
  • 网站seo置顶 乐云践新专家桔子seo查询
  • 哪个网站可以找题目给小孩做seo外包公司兴田德润
  • 百度一下你就知道天津seo优化排名
  • 企业黄页网站源码品牌宣传策划方案
  • 建站公司网站建设百青藤广告联盟