当前位置: 首页 > news >正文

除了wordpress还有什么可以建站seo搜索引擎优化试题

除了wordpress还有什么可以建站,seo搜索引擎优化试题,wordpress 拖拽排序插件,福州网站建设的公司文章目录 一、实验环境二、实验任务三、实验过程3.1 编写verliog程序3.2 引脚配置 四、仿真4.1 仿真代码4.2仿真结果 五、实验结果六、总结 一、实验环境 quartus18.1 vscode Cyclone IV开发板 二、实验任务 每间隔1S实现led灯的亮灭,实现流水灯的效果。 三、实…

文章目录

    • 一、实验环境
    • 二、实验任务
    • 三、实验过程
      • 3.1 编写verliog程序
      • 3.2 引脚配置
    • 四、仿真
      • 4.1 仿真代码
      • 4.2仿真结果
    • 五、实验结果
    • 六、总结

一、实验环境

quartus18.1
vscode
Cyclone IV开发板

二、实验任务

每间隔1S实现led灯的亮灭,实现流水灯的效果。

三、实验过程

3.1 编写verliog程序

//每间隔1S实现LED的亮灭
module led(input           clk     ,input           rst_n   ,output  reg   [3:0]  led   
);//计时1S
//parameter CNT0_2S = 24'd9;//如果要进行仿真的话就使用这个计数器
parameter CNT0_2S = 24'd9_999_999;
reg [23:0]  cnt     ;//保存时钟上升沿的个数
reg [1:0]   state   ;always @(posedge clk or negedge rst_n) begin//对寄存器赋值要使用alwaysif(!rst_n)begincnt <= 24'd0;endelse if(cnt == CNT0_2S)begincnt <= 24'd0;endelse begincnt <= cnt + 1'd1;end
endalways @(posedge clk or negedge rst_n) beginif(!rst_n)beginstate <= 2'd0;endelse if(state == 2'd3 && cnt == CNT0_2S)beginstate <= 2'd0;endelse if(cnt == CNT0_2S)beginstate <= state + 1'd1;end
endalways @(posedge clk or negedge rst_n) beginif(!rst_n)beginled <= 4'b0000;endelse begincase (state)2'd0:   led <= 4'b0001;2'd1:   led <= 4'b0010;2'd2:   led <= 4'b0100;2'd3:   led <= 4'b1000;default:led <= 4'b0000;endcaseend
end//0001,0010,0100,1000,0001
// always @(posedge clk or negedge rst_n) begin
//     if(!rst_n)begin
//         led <= 4'b0001;
//     end
//     else if(cnt == CNT0_2S)begin
//         led <= {led[2:0],led[3]};//使用位拼接可以更简单的实现流水灯
//     end
//     else begin
//         led <= led;
//     end
// end
endmodule

3.2 引脚配置

在这里插入图片描述

四、仿真

4.1 仿真代码

`timescale 1ns/1ns
module led_tb();reg     clk;reg     rst_n;wire    [3:0]   led;always #10 clk = ~clk;initial beginclk         =   1'b0;rst_n       =   1'b0;#10 rst_n   =   1'b1;#1000 $stop ;
endled     u_led(.clk     (clk),.rst_n   (rst_n),.led     (led)   
);endmodule

4.2仿真结果

在这里插入图片描述

五、实验结果

六、总结

本次实验主要是学习verilog的基础语法,掌握计数器的编写以及时序逻辑的设计方法。另外在进行仿真的时候需要修改计数器的大小,因为我们仿真设计的是延时1000ns,如果不把计数器改小的话就只能看到最开始的0001的状态,就无法判断逻辑是否出错。

http://www.rdtb.cn/news/20144.html

相关文章:

  • 学做视频的网站合川网站建设
  • 政府网站源码石家庄整站优化技术
  • 网站备案为什么这么慢中国站长工具
  • 网站建设易网宣html网页制作app
  • 第一个做网站的是谁中国网站排名查询
  • 战鼓网h5在线制作标题关键词优化技巧
  • 网站所有页面只显示域名百度指数api
  • 做网页的网站叫什么东莞网络营销
  • 可以做微信推送的网站北京谷歌seo公司
  • 免费网站建设市场专业代写软文
  • 帮企业做网站的公司seo搜索引擎优化业务
  • 下模板做网站互联网推广营销方案
  • 找人做小程序要多少钱seo自学网官方
  • 丹东建设安全监督网站站长工具ping检测
  • 辽宁建设银行官方网站制作一个app软件需要多少钱
  • 电子商务网站建设与开发百度视频排名优化
  • 做网站 图片显示不出来北京网站建设东轩seo
  • 免费网站制作app怎么找精准客户资源
  • 怎么做网站底部备案号企业网站管理系统怎么操作
  • 国家安全部内设机构aso苹果关键词优化
  • 浙江耀华建设集团网站每日一则新闻摘抄
  • 私人域名服务器临沂seo网站管理
  • 做怎么网站推广软件外包网站
  • 浙江网站建设推广公司哪家权威杭州10大软件开发公司
  • ppt做的模板下载网站有哪些东莞做网站哪个公司好
  • 佛山企业网站开发如何在百度投放广告
  • 网站文件上传好下一步怎么做seo是对网站进行什么优化
  • 网站banner用什么做网络营销战略
  • 音乐wordpress宁波网站建设网站排名优化
  • 共享设计平台seo管理与优化期末试题